【E2358】FPGA实现FFT (设计报告+源代码)

2021-11-21 14:20:33      索炜达电子      435     

项目编号:E2358

文件大小:412K

源码说明:带中文注释

开发环境:C编译器

简要概述:

FPGA实现FFT (设计报告+源代码)

目录│文件列表:

    │ FFT_report.pdf

    └ synth_fft

       │ and_gates.vhd

       │ baseindex.vhd

       │ but.vhd

       │ butter_lib.vhd

       │ comm.txt

       │ control2.vhd

       │ controller.vhd

       │ counter.vhd

       │ cycles_but.vhd

       │ dff.vhd

       │ divide.vhd

       │ FLOAT2.PIF

       │ FLOAT_RE.TXT

       │ IEEE_TO_.PIF

       │ ioadd.vhd

       │ iod_staged.vhd

       │ lblock.vhd

       │ mult.vhd

       │ multiply.vhd

       │ mux_add.vhd

       │ mux_but.vhd

       │ negate.vhd

       │ normalize.vhd

       │ out_result.vhd

       │ print.vhd

       │ ram.vhd

       │ ram_shift.vhd

       │ rblock.vhd

       │ result.txt

       │ rom.vhd

       │ romadd_gen.vhd

       │ rom_ram.vhd

       │ shift2.vhd

       │ simili.lst

       │ stage.vhd

       │ subtractor.vhd

       │ summer.vhd

       │ swap.vhd

       │ synth_main.vhd

       │ synth_test.vhd

       └ synth_fft

          │ and_gates.vhd

          │ baseindex.vhd

          │ but.vhd

          │ butter_lib.vhd

          │ comm.txt

          │ control2.vhd

          │ controller.vhd

          │ counter.vhd

          │ cycles_but.vhd

          │ dff.vhd

          │ divide.vhd

          │ FLOAT2.PIF

          │ FLOAT_RE.TXT

          │ IEEE_TO_.PIF

          │ ioadd.vhd

          │ iod_staged.vhd

          │ lblock.vhd

          │ mult.vhd

          │ multiply.vhd

          │ mux_add.vhd

          │ mux_but.vhd

          │ negate.vhd

          │ normalize.vhd

          │ out_result.vhd

          │ print.vhd

          │ ram.vhd

          │ ram_shift.vhd

          │ rblock.vhd

          │ result.txt

          │ rom.vhd

          │ romadd_gen.vhd

          │ rom_ram.vhd

          │ shift2.vhd

          │ simili.lst

          │ stage.vhd

          │ subtractor.vhd

          │ summer.vhd

          │ swap.vhd

          │ synth_main.vhd

          └ synth_test.vhd

TAGFFT
  • 6 次
  • 1 分