【E225】异步FIFO的纯Verilog实现

2021-08-16 22:38:07      索炜达电子      430     

使用verilog编写的异步fifo,读写端口各有一组时钟、读写使能、读写端口、满空指示、fifo使用量。本模块既不可靠,也不成熟,更不实用;注释写得多,可以作为学习参考。

aclr:异步复位,同步释放端口

【E225】异步FIFO的纯Verilog实现

【E225】异步FIFO的纯Verilog实现

文件列表:

目录│文件列表:

 └ DC_fifo

    └ fifo.v

TAGFIFO
  • 7 次
  • 1 分