【E552】基于FPGA的fir滤波器设计

2021-08-24 10:32:11      索炜达电子      469     

项目编号:E552

文件大小:7M

源码说明:带中文注释

开发环境:Verilog

简要概述:

基于FPGA的fir滤波器设计

目录│文件列表:

 └ 基于FPGA的fir滤波器设计

    │ FIR滤波器的设计.docx

    │ 框图.vsd

    └ fir_dac

       │ adder_32.v

       │ adder_32.v.bak

       │ fir.v

       │ fir.v.bak

       │ fir_dac.asm.rpt

       │ fir_dac.cdf

       │ fir_dac.done

       │ fir_dac.dpf

       │ fir_dac.fit.rpt

       │ fir_dac.fit.smsg

       │ fir_dac.fit.summary

       │ fir_dac.flow.rpt

       │ fir_dac.jdi

       │ fir_dac.map.rpt

       │ fir_dac.map.summary

       │ fir_dac.pin

       │ fir_dac.pof

       │ fir_dac.qpf

       │ fir_dac.qsf

       │ fir_dac.qws

       │ fir_dac.sof

       │ fir_dac.tan.rpt

       │ fir_dac.tan.summary

       │ fir_dac.v.bak

       │ fir_dac_assignment_defaults.qdf

       │ fir_top_vlg_tst.v

       │ key.v.bak

       │ reg32.v

       │ reg32.v.bak

       │ rom_top.v

       │ rom_top.v.bak

       │ sin1.inc

       │ sin1.qip

       │ sin1.v

       │ sin1_bb.v

       │ sin1_wave0.jpg

       │ sin1_waveforms.html

       │ sin2.inc

       │ sin2.qip

       │ sin2.v

       │ sin2_bb.v

       │ sin2_wave0.jpg

       │ sin2_waveforms.html

       │ sin3.inc

       │ sin3.qip

       │ sin3_wave0.jpg

       │ sin3_waveforms.html

       │ sin_8.mif

       │ sin_rom.mif

       ├ db

       │  │ add_sub_2ch.tdf

       │  │ add_sub_3ch.tdf

       │  │ add_sub_4ch.tdf

       │  │ add_sub_6ch.tdf

       │  │ add_sub_7ch.tdf

       │  │ add_sub_8ch.tdf

       │  │ add_sub_lkc.tdf

       │  │ add_sub_mkc.tdf

       │  │ altsyncram_m081.tdf

       │  │ altsyncram_vn71.tdf

       │  │ alt_u_div_c5f.tdf

       │  │ fir_dac.(0).cnf.cdb

       │  │ fir_dac.(0).cnf.hdb

       │  │ fir_dac.(1).cnf.cdb

       │  │ fir_dac.(1).cnf.hdb

       │  │ fir_dac.(10).cnf.cdb

       │  │ fir_dac.(10).cnf.hdb

       │  │ fir_dac.(11).cnf.cdb

       │  │ fir_dac.(11).cnf.hdb

       │  │ fir_dac.(12).cnf.cdb

       │  │ fir_dac.(12).cnf.hdb

       │  │ fir_dac.(13).cnf.cdb

       │  │ fir_dac.(13).cnf.hdb

       │  │ fir_dac.(14).cnf.cdb

       │  │ fir_dac.(14).cnf.hdb

       │  │ fir_dac.(15).cnf.cdb

       │  │ fir_dac.(15).cnf.hdb

       │  │ fir_dac.(16).cnf.cdb

       │  │ fir_dac.(16).cnf.hdb

       │  │ fir_dac.(17).cnf.cdb

       │  │ fir_dac.(17).cnf.hdb

       │  │ fir_dac.(18).cnf.cdb

       │  │ fir_dac.(18).cnf.hdb

       │  │ fir_dac.(19).cnf.cdb

       │  │ fir_dac.(19).cnf.hdb

       │  │ fir_dac.(2).cnf.cdb

       │  │ fir_dac.(2).cnf.hdb

       │  │ fir_dac.(20).cnf.cdb

       │  │ fir_dac.(20).cnf.hdb

       │  │ fir_dac.(21).cnf.cdb

       │  │ fir_dac.(21).cnf.hdb

       │  │ fir_dac.(22).cnf.cdb

       │  │ fir_dac.(22).cnf.hdb

       │  │ fir_dac.(23).cnf.cdb

       │  │ fir_dac.(23).cnf.hdb

       │  │ fir_dac.(24).cnf.cdb

       │  │ fir_dac.(24).cnf.hdb

       │  │ fir_dac.(25).cnf.cdb

       │  │ fir_dac.(25).cnf.hdb

       │  │ fir_dac.(26).cnf.cdb

       │  │ fir_dac.(26).cnf.hdb

       │  │ fir_dac.(27).cnf.cdb

       │  │ fir_dac.(27).cnf.hdb

       │  │ fir_dac.(28).cnf.cdb

       │  │ fir_dac.(28).cnf.hdb

       │  │ fir_dac.(29).cnf.cdb

       │  │ fir_dac.(29).cnf.hdb

       │  │ fir_dac.(3).cnf.cdb

       │  │ fir_dac.(3).cnf.hdb

       │  │ fir_dac.(30).cnf.cdb

       │  │ fir_dac.(30).cnf.hdb

       │  │ fir_dac.(31).cnf.cdb

       │  │ fir_dac.(31).cnf.hdb

       │  │ fir_dac.(32).cnf.cdb

       │  │ fir_dac.(32).cnf.hdb

       │  │ fir_dac.(33).cnf.cdb

       │  │ fir_dac.(33).cnf.hdb

       │  │ fir_dac.(34).cnf.cdb

       │  │ fir_dac.(34).cnf.hdb

       │  │ fir_dac.(35).cnf.cdb

       │  │ fir_dac.(35).cnf.hdb

       │  │ fir_dac.(36).cnf.cdb

       │  │ fir_dac.(36).cnf.hdb

       │  │ fir_dac.(37).cnf.cdb

       │  │ fir_dac.(37).cnf.hdb

       │  │ fir_dac.(38).cnf.cdb

       │  │ fir_dac.(38).cnf.hdb

       │  │ fir_dac.(39).cnf.cdb

       │  │ fir_dac.(39).cnf.hdb

       │  │ fir_dac.(4).cnf.cdb

       │  │ fir_dac.(4).cnf.hdb

       │  │ fir_dac.(40).cnf.cdb

       │  │ fir_dac.(40).cnf.hdb

TAGfir滤波器
  • 4 次
  • 1 分