【E451】Verilog实现FPGA千兆以太网通讯

2021-08-22 22:09:37      索炜达电子      797     

项目编号:E451

文件大小:7.8M

源码说明:带中文注释

开发环境:Verilog

简要概述:

Verilog实现FPGA千兆以太网通讯

目录│文件列表:

 └ ethernet_test

    │ 11.wcfg

    │ chipscope.cdc

    │ chipscope_icon.asy

    │ chipscope_icon.gise

    │ chipscope_icon.ncf

    │ chipscope_icon.ngc

    │ chipscope_icon.ucf

    │ chipscope_icon.v

    │ chipscope_icon.veo

    │ chipscope_icon.xco

    │ chipscope_icon.xdc

    │ chipscope_icon_flist.txt

    │ chipscope_icon_readme.txt

    │ chipscope_icon_xmdf.tcl

    │ chipscope_ila.asy

    │ chipscope_ila.cdc

    │ chipscope_ila.gise

    │ chipscope_ila.ncf

    │ chipscope_ila.ngc

    │ chipscope_ila.ucf

    │ chipscope_ila.v

    │ chipscope_ila.veo

    │ chipscope_ila.xco

    │ chipscope_ila.xdc

    │ chipscope_ila_flist.txt

    │ chipscope_ila_readme.txt

    │ chipscope_ila_xmdf.tcl

    │ coregen.cgc

    │ coregen.cgp

    │ eth.bgn

    │ eth.bit

    │ eth.bld

    │ eth.cmd_log

    │ eth.drc

    │ eth.lso

    │ eth.ncd

    │ eth.ngc

    │ eth.ngd

    │ eth.ngr

    │ eth.pad

    │ eth.par

    │ eth.pcf

    │ eth.prj

    │ eth.ptwx

    │ eth.stx

    │ eth.syr

    │ eth.twr

    │ eth.twx

    │ eth.unroutes

    │ eth.ut

    │ eth.v

    │ eth.xpi

    │ eth.xst

    │ ethernet.bgn

    │ ethernet.bld

    │ ethernet.cfi

    │ ethernet.cmd_log

    │ ethernet.drc

    │ ethernet.lso

    │ ethernet.mcs

    │ ethernet.ncd

    │ ethernet.ngc

    │ ethernet.ngd

    │ ethernet.ngr

    │ ethernet.pad

    │ ethernet.par

    │ ethernet.pcf

    │ ethernet.prj

    │ ethernet.prm

    │ ethernet.ptwx

    │ ethernet.stx

    │ ethernet.syr

    │ ethernet.twr

    │ ethernet.twx

    │ ethernet.unroutes

    │ ethernet.ut

    │ ethernet.xpi

    │ ethernet.xst

    │ ethernet_bitgen.xwbt

    │ ethernet_cs.blc

    │ ethernet_cs.ngc

    │ ethernet_envsettings.html

    │ ethernet_guide.ncd

    │ ethernet_map.map

    │ ethernet_map.mrp

    │ ethernet_map.ncd

    │ ethernet_map.ngm

    │ ethernet_map.xrpt

    │ ethernet_ngdbuild.xrpt

    │ ethernet_pad.csv

    │ ethernet_pad.txt

    │ ethernet_par.xrpt

    │ ethernet_summary.html

    │ ethernet_summary.xml

    │ ethernet_test.bgn

    │ ethernet_test.bit

    │ ethernet_test.bld

    │ ethernet_test.cfi

    │ ethernet_test.cmd_log

    │ ethernet_test.cpj

    │ ethernet_test.drc

    │ ethernet_test.gise

    │ ethernet_test.lso

    │ ethernet_test.ncd

    │ ethernet_test.ngc

    │ ethernet_test.ngd

    │ ethernet_test.ngr

    │ ethernet_test.pad

    │ ethernet_test.par

    │ ethernet_test.pcf

    │ ethernet_test.prj

    │ ethernet_test.prm

    │ ethernet_test.ptwx

    │ ethernet_test.stx

    │ ethernet_test.syr

    │ ethernet_test.twr

    │ ethernet_usage.xml

    │ ethernet_xst.xrpt

    │ eth_bitgen.xwbt

    │ eth_envsettings.html

    │ eth_guide.ncd

    │ eth_map.map

    │ eth_map.mrp

    │ eth_map.ncd

    │ eth_map.ngm

    │ eth_map.xrpt

    │ eth_ngdbuild.xrpt

    │ eth_pad.csv

    │ eth_pad.txt

    │ eth_par.xrpt

    │ eth_summary.html

    │ eth_summary.xml

    │ eth_usage.xml

    │ eth_xst.xrpt

    │ etn.v

    │ fifo_control.v

    │ fuse.log

    │ fuse.xmsgs

    │ fuseRelaunch.cmd

    │ impact.xsl

    │ impact_impact.xwbt

    │ isim.cmd

    │ isim.log

    │ mdio_com.v

    │ pa.fromNetlist.tcl

    │ par_usage_statistics.html

    │ phy_reg_config.v

    │ planAhead.ngc2edif.log

    │ udp.lso

    │ udp.prj

    │ udp.stx

    │ udp.xst

    │ udp_envsettings.html

    │ udp_summary.html

    │ usage_statistics_webtalk.html

    │ webtalk.log

    │ webtalk_impact.xml

    │ webtalk_pn.xml

    │ xilinxsim.ini

    │ _impact.cmd

    │ _impact.log

    ├ chipscope_icon.constraints

    │  │ chipscope_icon.ucf

    │  └ chipscope_icon.xdc

    ├ chipscope_ila.constraints

    │  │ chipscope_ila.ucf

    │  └ chipscope_ila.xdc

    ├ ipcore_dir

    │  │ coregen.cgp

    │  │ coregen.log

    │  │ create_fifo.tcl

    │  │ create_pll.tcl

    │  │ create_ram.tcl

    │  │ edit_fifo.tcl

    │  │ edit_pll.tcl

    │  │ edit_ram.tcl

    │  │ fifo.asy

    │  │ fifo.gise

    │  │ fifo.ncf

    │  │ fifo.ngc

    │  │ fifo.sym

    │  │ fifo.v

    │  │ fifo.veo

    │  │ fifo.vhd

    │  │ fifo.vho

    │  │ fifo.xco

    │  │ fifo.xise

    │  │ fifo_flist.txt

    │  │ fifo_generator_readme.txt

    │  │ fifo_generator_ug175.pdf

    │  │ fifo_xmdf.tcl

    │  │ pll.asy

    │  │ pll.ejp

    │  │ pll.gise

    │  │ pll.sym

    │  │ pll.v

    │  │ pll.veo

    │  │ pll.vhd

    │  │ pll.vho

    │  │ pll.xco

    │  │ pll.xise

    │  │ pll_exdes.ncf

    │  │ pll_flist.txt

    │  │ pll_xmdf.tcl

    │  │ ram.asy

    │  │ ram.gise

    │  │ ram.ncf

    │  │ ram.ngc

    │  │ ram.sym

    │  │ ram.v

    │  │ ram.veo

    │  │ ram.xco

    │  │ ram.xise

    │  │ ram_flist.txt

    │  │ ram_xmdf.tcl

    │  │ summary.log

    │  ├ pll

    │  │  │ clk_wiz_readme.txt

    │  │  │ pll.ucf

    │  │  ├ doc

    │  │  │  │ clk_wiz_ds709.pdf

    │  │  │  └ clk_wiz_gsg521.pdf

    │  │  ├ example_design

    │  │  │  │ pll_exdes.v

    │  │  │  └ pll_exdes.vhd

    │  │  ├ implement

    │  │  │  │ implement.bat

    │  │  │  │ implement.sh

    │  │  │  │ planAhead_ise.bat

    │  │  │  │ planAhead_ise.sh

    │  │  │  │ planAhead_ise.tcl

    │  │  │  │ xst.prj

    │  │  │  └ xst.scr

    │  │  └ simulation

    │  │     │ pll_tb.v

    │  │     │ pll_tb.vhd

    │  │     └ functional

    │  │        │ simcmds.tcl

    │  │        │ simulate_isim.bat

    │  │        │ simulate_isim.sh

    │  │        │ simulate_mti.do

    │  │        │ simulate_ncsim.sh

    │  │        │ simulate_vcs.sh

    │  │        │ ucli_commands.key

    │  │        │ vcs_session.tcl

    │  │        │ wave.do

    │  │        └ wave.sv

    │  ├ ram

    │  │  │ blk_mem_gen_v7_3_readme.txt

    │  │  ├ doc

    │  │  │  │ blk_mem_gen_v7_3_vinfo.html

    │  │  │  └ pg058-blk-mem-gen.pdf

    │  │  ├ example_design

    │  │  │  │ ram_exdes.ucf

    │  │  │  │ ram_exdes.vhd

    │  │  │  │ ram_exdes.xdc

    │  │  │  └ ram_prod.vhd

    │  │  ├ implement

    │  │  │  │ implement.bat

    │  │  │  │ implement.sh

    │  │  │  │ planAhead_ise.bat

    │  │  │  │ planAhead_ise.sh

    │  │  │  │ planAhead_ise.tcl

    │  │  │  │ xst.prj

    │  │  │  └ xst.scr

    │  │  └ simulation

    │  │     │ addr_gen.vhd

    │  │     │ bmg_stim_gen.vhd

    │  │     │ bmg_tb_pkg.vhd

    │  │     │ checker.vhd

    │  │     │ data_gen.vhd

    │  │     │ ram_synth.vhd

    │  │     │ ram_tb.vhd

    │  │     │ random.vhd

    │  │     ├ functional

    │  │     │  │ simcmds.tcl

    │  │     │  └ wave_ncsim.sv

    │  │     └ timing

    │  │        │ simcmds.tcl

    │  │        │ simulate_isim.bat

    │  │        │ simulate_mti.bat

    │  │        │ simulate_mti.do

    │  │        │ simulate_mti.sh

    │  │        │ simulate_ncsim.sh

    │  │        │ simulate_vcs.sh

    │  │        │ ucli_commands.key

    │  │        │ vcs_session.tcl

    │  │        │ wave_mti.do

    │  │        └ wave_ncsim.sv

    │  ├ tmp

    │  │  │ fifo.lso

    │  │  │ ram.lso

    │  │  ├ _cg

    │  │  │  └ _dbg

    │  │  │     │ xil_347.in

    │  │  │     └ xil_347.out

    │  │  └ _xmsgs

    │  │     │ ngcbuild.xmsgs

    │  │     │ pn_parser.xmsgs

    │  │     └ xst.xmsgs

    │  └ _xmsgs

    │     │ cg.xmsgs

    │     └ pn_parser.xmsgs

    ├ iseconfig

    │  │ eth.xreport

    │  │ ethernet.xreport

    │  │ ethernet_test.projectmgr

    │  └ ethernet_test.xreport

    ├ planAhead_run_1

    │  │ planAhead.jou

    │  │ planAhead.log

    │  └ planAhead_run.log

    ├ planAhead_run_2

    │  │ ethernet_test.ppr

    │  │ planAhead.jou

    │  │ planAhead.log

    │  │ planAhead_run.log

    │  └ ethernet_test.data

    │     ├ constrs_1

    │     │  └ fileset.xml

    │     ├ runs

    │     │  │ impl_1.psg

    │     │  └ runs.xml

    │     ├ runs.new

    │     │  │ impl_1.psg

    │     │  └ runs.xml

    │     ├ runs_2.new

    │     │  │ impl_1.psg

    │     │  └ runs.xml

    │     ├ sources_1

    │     │  └ fileset.xml

    │     └ wt

    │        └ webtalk_pa.xml

    ├ rtl

    │  │ crc.v

    │  │ data_num.v

    │  │ ethernet.v

    │  │ ethernet_test.v

    │  │ fff.v

    │  │ flash_read.v

    │  │ iprecieve.v

    │  │ ipsend.v

    │  │ mdio_com.v

    │  │ udp.ucf

    │  └ udp.v

    ├ tmp

    │  └ _xmsgs

    │     └ pn_parser.xmsgs

    ├ xlnx_auto_0_xdb

    │  └ cst.xbcd

    ├ xst

    │  └ work

    │     │ work.sdbl

    │     └ work.sdbx

    ├ _ngo

    │  │ ethernet_cs_signalbrowser.ngo

    │  │ ethernet_cs_signalbrowser.ver

    │  │ ethernet_signalbrowser.ngo

    │  │ ethernet_signalbrowser.ver

    │  │ ethernet_test_cs_signalbrowser.ngo

    │  │ ethernet_test_cs_signalbrowser.ver

    │  │ icon_pro.ngc

    │  │ ila_pro_0.ngc

    │  │ netlist.lst

    │  ├ cs_icon_pro

    │  │  │ coregen.cgc

    │  │  │ coregen.cgp

    │  │  │ coregen.log

    │  │  │ generate_icon_pro.xco

    │  │  │ icon_pro.gise

    │  │  │ icon_pro.ucf

    │  │  │ icon_pro.vhd

    │  │  │ icon_pro.vho

    │  │  │ icon_pro.xco

    │  │  │ icon_pro.xise

    │  │  │ icon_pro_flist.txt

    │  │  │ icon_pro_readme.txt

    │  │  │ icon_pro_xmdf.tcl

    │  │  ├ tmp

    │  │  │  └ _xmsgs

    │  │  │     └ pn_parser.xmsgs

    │  │  └ _xmsgs

    │  │     └ xst.xmsgs

    │  └ cs_ila_pro_0

    │     │ coregen.cgc

    │     │ coregen.cgp

    │     │ coregen.log

    │     │ generate_ila_pro_0.xco

    │     │ ila_pro_0.cdc

    │     │ ila_pro_0.gise

    │     │ ila_pro_0.ucf

    │     │ ila_pro_0.vhd

    │     │ ila_pro_0.vho

    │     │ ila_pro_0.xco

    │     │ ila_pro_0.xise

    │     │ ila_pro_0_flist.txt

    │     │ ila_pro_0_readme.txt

    │     │ ila_pro_0_xmdf.tcl

    │     ├ tmp

    │     │  └ _xmsgs

    │     │     └ pn_parser.xmsgs

    │     └ _xmsgs

    │        └ xst.xmsgs

    └ _xmsgs

       │ bitgen.xmsgs

       │ map.xmsgs

       │ ngcbuild.xmsgs

       │ ngdbuild.xmsgs

       │ par.xmsgs

       │ pn_parser.xmsgs

       │ trce.xmsgs

       └ xst.xmsgs

TAG千兆以太网
  • 14 次
  • 1 分